The design and implementation of advanced driver assistance system (ADAS) data acquisition engine based on heterogeneous computation platform

<p>ADAS supports drivers with the required tools and augments to properly decide while</p><p>driving the car. It can safely control the car either by providing relevant information</p><p>around the car to the driver, or by taking...

Full description

Saved in:
Bibliographic Details
Main Author: Jumaah, Fawaz Mohammed
Format: thesis
Language:eng
Published: 2021
Subjects:
N/A
Online Access:https://ir.upsi.edu.my/detailsg.php?det=7343
Tags: Add Tag
No Tags, Be the first to tag this record!
id oai:ir.upsi.edu.my:7343
record_format uketd_dc
institution Universiti Pendidikan Sultan Idris
collection UPSI Digital Repository
language eng
topic N/A
spellingShingle N/A
Jumaah, Fawaz Mohammed
The design and implementation of advanced driver assistance system (ADAS) data acquisition engine based on heterogeneous computation platform
description <p>ADAS supports drivers with the required tools and augments to properly decide while</p><p>driving the car. It can safely control the car either by providing relevant information</p><p>around the car to the driver, or by taking control of the vehicle movement, partially or</p><p>completely. The purpose of this study is to develop an ADAS Data Acquisition Engine</p><p>based on heterogenous platform that utilises SoC and FPGA platforms. Furthermore,</p><p>the design methodology of heterogenous SoC-FPGA platform is developed to reduce</p><p>design complexity of heterogenous design flow. Furthermore, it unifies the hardware</p><p>and software design flows to reduce design cycle time required for development. The</p><p>proposed system was verified indoor to confirm system functionality. After that, the</p><p>proposed system was implemented on car for real-time system validation and testing.</p><p>The system was able to interact with LiDAR sensor, four ultrasonic sensors, and Inertial</p><p>Movement Unit (IMU) sensors. The LiDAR and ultrasonic were used for long distance,</p><p>and short distance measurements, respectively. The proposed system implemented on</p><p>FPGA consumed 15% of logic resources, and 76% of internal memory. The proposed</p><p>test plan has been derived based on case study reliability tests, system functionality</p><p>tests, data validation tests, and ADAS application functionality tests. Each test was</p><p>executed four times to ensure system reliability. The proposed system was able to detect</p><p>objects in short-range perspective through the ultrasonic sensor from 20 centimetres to</p><p>450 centimetres. Furthermore, the system was able to detect long-range distance</p><p>through the LiDAR from 4 meters, up to 70 meters. The car steering wheel orientation</p><p>was measured through the IMU sensor ranged from 58.8 angle (clockwise steering</p><p>movement) to -61.4 angle (anti-clockwise steering movement). The collected data was</p><p>postprocessed through Rapidminer studio software tool and was presented for further</p><p>Artificial Intelligence (AI) future applications.</p>
format thesis
qualification_name
qualification_level Doctorate
author Jumaah, Fawaz Mohammed
author_facet Jumaah, Fawaz Mohammed
author_sort Jumaah, Fawaz Mohammed
title The design and implementation of advanced driver assistance system (ADAS) data acquisition engine based on heterogeneous computation platform
title_short The design and implementation of advanced driver assistance system (ADAS) data acquisition engine based on heterogeneous computation platform
title_full The design and implementation of advanced driver assistance system (ADAS) data acquisition engine based on heterogeneous computation platform
title_fullStr The design and implementation of advanced driver assistance system (ADAS) data acquisition engine based on heterogeneous computation platform
title_full_unstemmed The design and implementation of advanced driver assistance system (ADAS) data acquisition engine based on heterogeneous computation platform
title_sort design and implementation of advanced driver assistance system (adas) data acquisition engine based on heterogeneous computation platform
granting_institution Universiti Pendidikan Sultan Idris
granting_department N/A
publishDate 2021
url https://ir.upsi.edu.my/detailsg.php?det=7343
_version_ 1747833383049756672
spelling oai:ir.upsi.edu.my:73432022-08-23 The design and implementation of advanced driver assistance system (ADAS) data acquisition engine based on heterogeneous computation platform 2021 Jumaah, Fawaz Mohammed N/A <p>ADAS supports drivers with the required tools and augments to properly decide while</p><p>driving the car. It can safely control the car either by providing relevant information</p><p>around the car to the driver, or by taking control of the vehicle movement, partially or</p><p>completely. The purpose of this study is to develop an ADAS Data Acquisition Engine</p><p>based on heterogenous platform that utilises SoC and FPGA platforms. Furthermore,</p><p>the design methodology of heterogenous SoC-FPGA platform is developed to reduce</p><p>design complexity of heterogenous design flow. Furthermore, it unifies the hardware</p><p>and software design flows to reduce design cycle time required for development. The</p><p>proposed system was verified indoor to confirm system functionality. After that, the</p><p>proposed system was implemented on car for real-time system validation and testing.</p><p>The system was able to interact with LiDAR sensor, four ultrasonic sensors, and Inertial</p><p>Movement Unit (IMU) sensors. The LiDAR and ultrasonic were used for long distance,</p><p>and short distance measurements, respectively. The proposed system implemented on</p><p>FPGA consumed 15% of logic resources, and 76% of internal memory. The proposed</p><p>test plan has been derived based on case study reliability tests, system functionality</p><p>tests, data validation tests, and ADAS application functionality tests. Each test was</p><p>executed four times to ensure system reliability. The proposed system was able to detect</p><p>objects in short-range perspective through the ultrasonic sensor from 20 centimetres to</p><p>450 centimetres. Furthermore, the system was able to detect long-range distance</p><p>through the LiDAR from 4 meters, up to 70 meters. The car steering wheel orientation</p><p>was measured through the IMU sensor ranged from 58.8 angle (clockwise steering</p><p>movement) to -61.4 angle (anti-clockwise steering movement). The collected data was</p><p>postprocessed through Rapidminer studio software tool and was presented for further</p><p>Artificial Intelligence (AI) future applications.</p> 2021 thesis https://ir.upsi.edu.my/detailsg.php?det=7343 https://ir.upsi.edu.my/detailsg.php?det=7343 text eng closedAccess Doctoral Universiti Pendidikan Sultan Idris N/A <p>Abid, F., Izeboudjen, N., Sahli, L., Lazib, D., Titri, S., Louiz, F., & Bakiri, M. (2018).</p><p>Towards an open embedded system on chip for network applications. Latest</p><p>Trends on Circuits, Systems and Signals.</p><p></p><p>Adafruit. (2020). MPU6050 6-DoF Accelerometer and Gyro. https://cdnlearn.</p><p>adafruit.com/downloads/pdf/mpu6050-6-dof-accelerometer-andgyro.</p><p>pdf?timestamp=1610817659</p><p></p><p>Alarcn, J., Salvador, R., Moreno, F., Cobos, P., & Lpez, I. (2006). A new real-time</p><p>hardware architecture for road line tracking using a particle filter. IEEE</p><p>Industrial Electronics, IECON 2006-32nd Annual Conference On, 736741.</p><p></p><p>Alsheikhy, A., & Said, F. (2019). Design of Embedded Vision System based on FPGASoC.</p><p></p><p>Anaya, J. J., Ponz, A., Garca, F., & Talavera, E. (2017). Motorcycle detection for</p><p>ADAS through camera and V2V Communication, a comparative analysis of</p><p>two modern technologies. Expert Systems with Applications, 77, 148159.</p><p></p><p>Andrade, H., Lwakatare, L. E., Crnkovic, I., & Bosch, J. (2019). Software Challenges</p><p>in Heterogeneous Computing: A Multiple Case Study in Industry. 2019 45th</p><p>Euromicro Conference on Software Engineering and Advanced Applications</p><p>(SEAA), 148155.</p><p></p><p>Andrade, H., Schroeder, J., & Crnkovic, I. (2019). Software deployment on</p><p>heterogeneous platforms: A systematic mapping study. IEEE Transactions on</p><p>Software Engineering.</p><p></p><p>Arndt, O. J., Trger, F. D., Mo\s s, T., & Blume, H. (2017). Portable Implementation</p><p>of Advanced Driver-Assistance Algorithms on Heterogeneous Architectures.</p><p>Parallel and Distributed Processing Symposium Workshops (IPDPSW), 2017</p><p>IEEE International, 617.</p><p></p><p>B. Wu, H. Chiang, T. Lee, & J. Perng. (2008). The embedded driving-assistance system</p><p>on Taiwan iTS-1. 2008 IEEE International Conference on Systems, Man and</p><p>Cybernetics, 33823387. https://doi.org/10.1109/ICSMC.2008.4811820</p><p></p><p>Ball, J. E., & Tang, B. (2019). Machine Learning and Embedded Computing in</p><p>Advanced Driver Assistance Systems (ADAS). Multidisciplinary Digital</p><p>Publishing Institute.</p><p></p><p>Batavia, P. H. (1999). Driver-adaptive lane departure warning systems. Carnegie</p><p>Mellon University Pittsburgh,, USA.</p><p></p><p>Bauer, W., Holzinger, P., Rachuj, S., Haeublein, K., Reichenbach, M., & Fey, D.</p><p>(2019). Evaluating HSA-Compatible Heterogeneous Systems for ADAS</p><p>Applications. ARCS Workshop 2019; 32nd International Conference on</p><p>Architecture of Computing Systems, 18.</p><p></p><p>Bcsi, T., Aradi, S., Fehr, ., & Gldi, G. (2017). Autonomous Vehicle Function</p><p>Experiments with Low-Cost Environment Sensors. Transportation Research</p><p>Procedia, 27, 333340.</p><p></p><p>Bell, S., Pu, J., Hegarty, J., & Horowitz, M. (2018). Compiling algorithms for</p><p>heterogeneous systems. Synthesis Lectures on Computer Architecture, 13(1), 1</p><p>105.</p><p></p><p>Besta, M., Stanojevic, D., Licht, J. D. F., Ben-Nun, T., & Hoefler, T. (2019). Graph</p><p>Processing on FPGAs: Taxonomy, Survey, Challenges. ArXiv Preprint</p><p>ArXiv:1903.06697.</p><p></p><p>Bougharriou, S., Hamdaoui, F., & Mtibaa, A. (2016). Hardware architecture:</p><p>Correlation-based approach for road sign detection. Advanced Technologies for</p><p>Signal and Image Processing (ATSIP), 2016 2nd International Conference On,</p><p>247251.</p><p></p><p>Brackenbury, L. E., Plana, L. A., & Pepper, J. (2010). System-on-chip design and</p><p>implementation. IEEE Transactions on Education, 53(2), 272281.</p><p></p><p>Brenot, F., Fillatreau, P., & Piat, J. (2015). FPGA based accelerator for visual features</p><p>detection. Electronics, Control, Measurement, Signals and Their Application to</p><p>Mechatronics (ECMSM), 2015 IEEE International Workshop Of, 16.</p><p></p><p>Burgio, P., Bertogna, M., Capodieci, N., Cavicchioli, R., Sojka, M., Houdek, P.,</p><p>Marongiu, A., Gai, P., Scordino, C., & Morelli, B. (2017). A software stack for</p><p>next-generation automotive systems on many-core heterogeneous platforms.</p><p>Microprocessors and Microsystems, 52, 299311.</p><p></p><p>Calefato, C., Ferrarini, C., Landini, E., Kutila, M., & Quinteiro, E. G. (2016). The</p><p>modularisation design approach applied to the ADAS domain: The DESERVE</p><p>project experience. Transportation Research Procedia, 14, 22652273.</p><p></p><p>Campmany, V., Silva, S., Espinosa, A., Moure, J. C., Vzquez, D., & Lpez, A. M.</p><p>(2016). GPU-based pedestrian detection for autonomous driving. ArXiv</p><p>Preprint ArXiv:1611.01642.</p><p></p><p>CHAABAN, K., SHAWKY, M., & CRUBILLE, P. (2009). A distributed embedded</p><p>architecture for the evaluation of ADAS systems. IFAC Proceedings Volumes,</p><p>42(15), 237244.</p><p></p><p>Chishiro, H., Suito, K., Ito, T., Maeda, S., Azumi, T., Funaoka, K., & Kato, S. (2019).</p><p>Towards Heterogeneous Computing Platforms for Autonomous Driving. 2019</p><p>IEEE International Conference on Embedded Software and Systems (ICESS),</p><p>18.</p><p></p><p>D. Poddar, P. Swami, S. Nagori, P. Viswanath, M. Mathew, D. Kumar, A. Jain, & S.</p><p>Jagannathan. (2017). Real time Structure from Motion for Driver Assistance</p><p>System. 2017 IEEE International Conference on Consumer Electronics (ICCE),</p><p>231232. https://doi.org/10.1109/ICCE.2017.7889295</p><p></p><p>Danowitz, A., Kelley, K., Mao, J., Stevenson, J. P., & Horowitz, M. (2012). CPU DB:</p><p>Recording microprocessor history. Queue, 10(4), 1027.</p><p></p><p>Dell. (2019). Dell EMC Isilon: Deep Learning Infrastructure for Autonomous Driving.</p><p>Dell EMC Isilon. https://www.delltechnologies.com/enca/</p><p>collaterals/unauth/whitepapers/</p><p>solutions/h17918_deep_learning_infrastructure_for_autonomous_drivi</p><p>ng.pdf</p><p></p><p>Diniz, W. F., Frmont, V., Fantoni, I., & Nbrega, E. G. (2017). An FPGA-based</p><p>architecture for embedded systems performance acceleration applied to</p><p>Optimum-Path Forest classifier. Microprocessors and Microsystems, 52, 261</p><p>271.</p><p></p><p>Du, S., Huang, T., Hou, J., Song, S., & Song, Y. (2019). FPGA based acceleration of</p><p>game theory algorithm in edge computing for autonomous driving. Journal of</p><p>Systems Architecture, 93, 3339.</p><p></p><p>Faisal, I. A., Purboyo, T. W., & Ansori, A. S. R. (2020). A Review of Accelerometer</p><p>Sensor and Gyroscope Sensor in IMU Sensors on Motion Capture. Journal of</p><p>Engineering and Applied Sciences, 15(3), 826829.</p><p></p><p>Fan, R., Prokhorov, V., & Dahnoun, N. (2016). Faster-than-real-time linear lane</p><p>detection implementation using SoC DSP TMS320C6678. Imaging Systems</p><p>and Techniques (IST), 2016 IEEE International Conference On, 306311.</p><p></p><p>Fernandes, L. C., Souza, J. R., Pessin, G., Shinzato, P. Y., Sales, D., Mendes, C., Prado,</p><p>M., Klaser, R., Magalhaes, A. C., & Hata, A. (2014). CaRINA intelligent</p><p>robotic car: Architectural design and applications. Journal of Systems</p><p>Architecture, 60(4), 372392.</p><p></p><p>Forsberg, B., Palossi, D., Marongiu, A., & Benini, L. (2017). GPU-Accelerated Real-</p><p>Time Path Planning and the Predictable Execution Model. Procedia Computer</p><p>Science, 108, 24282432.</p><p></p><p>Galko, C., Rossi, R., & Savatier, X. (2014). Vehicle-hardware-in-the-loop system for</p><p>adas prototyping and validation. Embedded Computer Systems: Architectures,</p><p>Modeling, and Simulation (SAMOS XIV), 2014 International Conference On,</p><p>329334.</p><p></p><p>Garmin. (2016). V3 Operation Manual and Technical Specifications. Garmin: Olathe,</p><p>KS, USA, 114.</p><p></p><p>Gehrig, S., Schneider, N., Stalder, R., & Franke, U. (2017). Stereo vision during adverse</p><p>weatherUsing priors to increase robustness in real-time stereo vision. Image</p><p>and Vision Computing, 68, 2839.</p><p></p><p>Gerstlauer, A., Haubelt, C., Pimentel, A. D., Stefanov, T. P., Gajski, D. D., & Teich, J.</p><p>(2009). Electronic system-level synthesis methodologies. IEEE Transactions on</p><p>Computer-Aided Design of Integrated Circuits and Systems, 28(10), 1517</p><p>1530.</p><p></p><p>Giesemann, F., Pay-Vay, G., Blume, H., Limmer, M., & Ritter, W. (2014). A</p><p>comprehensive ASIC/FPGA prototyping environment for exploring embedded</p><p>processing systems for advanced driver assistance applications. Embedded</p><p>Computer Systems: Architectures, Modeling, and Simulation (SAMOS XIV),</p><p>2014 International Conference On, 314321.</p><p></p><p>Goswami, P., Chitnis, K., Jadav, B., Kapania, A., & Sivasankaran, S. (2017). Software</p><p>framework for runtime application monitoring of fail-safe multi-processor</p><p>ADAS SoCs. 2017 IEEE International Conference on Consumer Electronics</p><p>(ICCE), 3942.</p><p></p><p>Greaves, D. J. (2011). System on Chip Design and Modelling. University of Cambridge</p><p>Computer Laboratory Lecture Notes, 130.</p><p></p><p>Gruyer, D., Belaroussi, R., Li, X., Lusetti, B., Revilloud, M., & Glaser, S. (2015).</p><p>PerSEE: A central sensors fusion electronic control unit for the development of</p><p>perception-based ADAS. Machine Vision Applications (MVA), 2015 14th IAPR</p><p>International Conference On, 250254.</p><p></p><p>Gruyer, D., Magnier, V., Hamdi, K., Claussmann, L., Orfila, O., & Rakotonirainy, A.</p><p>(2017). Perception, information processing and modeling: Critical stages for</p><p>autonomous driving applications. Annual Reviews in Control, 44, 323341.</p><p></p><p>Hammond, M., Qu, G., & Rawashdeh, O. A. (2015). Deploying and scheduling vision</p><p>based advanced driver assistance systems (ADAS) on heterogeneous multicore</p><p>embedded platform. Frontier of Computer Science and Technology (FCST),</p><p>2015 Ninth International Conference On, 172177.</p><p></p><p>Hamza, B., Abdelhakim, K., & Brahim, C. (2012). FPGA design of a real-time obstacle</p><p>detection system using stereovision. Microelectronics (ICM), 2012 24th</p><p>International Conference On, 14.</p><p></p><p>Harada, K., Kanazawa, K., & Yasunaga, M. (2019). FPGA-Based Object Detection for</p><p>Autonomous Driving System. 2019 International Conference on Field-</p><p>Programmable Technology (ICFPT), 465468.</p><p></p><p>Heimberger, M., Horgan, J., Hughes, C., McDonald, J., & Yogamani, S. (2017).</p><p>Computer vision in automated parking systems: Design, implementation and</p><p>challenges. Image and Vision Computing, 68, 88101.</p><p></p><p>Hernandez-Juarez, D., Chacn, A., Espinosa, A., Vzquez, D., Moure, J. C., & Lpez,</p><p>A. M. (2016). Embedded real-time stereo estimation via semi-global matching</p><p>on the GPU. Procedia Computer Science, 80, 143153.</p><p></p><p>Hiltschera, J., Akulaa, S. P., Streiterb, R., & Wanielika, G. (2018). A flexible automotive</p><p>systems architecture for next generation ADAS.</p><p></p><p>Huang, B. K., Vann, R. G. L., Freethy, S., Myers, R. M., Naylor, G., Sharples, R. M.,</p><p>& Shevchenko, V. F. (2012). FPGA-based embedded Linux technology in</p><p>fusion: The MAST microwave imaging system. Fusion Engineering and</p><p>Design, 87(12), 21062111.</p><p></p><p>Hwang, S., & Lee, Y. (2016). FPGA-based real-time lane detection for advanced driver</p><p>assistance systems. Circuits and Systems (APCCAS), 2016 IEEE Asia Pacific</p><p>Conference On, 218219.</p><p></p><p>Hwu, W.-M. W. (2016). Chapter 1Introduction. In W. W. Hwu (Ed.), Heterogeneous</p><p>System Architecture (pp. 15). Morgan Kaufmann.</p><p>https://doi.org/10.1016/B978-0-12-800386-2.00009-2</p><p></p><p>Iagnemma, K., & Buehler, M. (2006). Editorial for Journal of Field RoboticsSpecial</p><p>issue on the DARPA grand challenge. Journal of Field Robotics, 23(9), 655</p><p>656.</p><p></p><p>Intel. (2009). Standard Cell ASIC to FPGA Design Methodology and Guidelines.</p><p>https://www.intel.com/content/dam/www/programmable/us/en/pdfs/literature/</p><p>an/an311.pdf</p><p></p><p>Intel. (2017). Quartus Prime standard edition handbook volume 1: Design and</p><p>synthesis. USA.</p><p></p><p>Intel. (2020). AN 307: Intel FPGA Design Flow for Xilinx Users.</p><p>https://www.intel.com/content/dam/www/programmable/us/en/pdfs/literature/</p><p>an/an307.pdf</p><p></p><p>Intel. (2021a). Intel FPGA and Programmable Devices.</p><p>https://www.intel.com/content/www/us/en/products/programmable.html</p><p></p><p>Intel. (2021b). Skylake (microarchitecture). In Wikipedia.</p><p>https://en.wikipedia.org/w/index.php?title=Skylake_(microarchitecture)&oldid</p><p>=998421085</p><p></p><p>Intel Corporation. (2014). Booting and Configuration Introduction.</p><p>https://www.intel.cn/content/dam/www/programmable/us/en/pdfs/literature/hb</p><p>/arria-10/a10_5400a.pdf</p><p></p><p>Intel Corporation. (2015). Instantiating the Nios II Processor. Instantiating the Nios II</p><p>Processor</p><p></p><p>Intel PSG website. (2020).</p><p>https://www.intel.com/content/www/us/en/programmable/products/boards_an</p><p>d_kits/dev-kits/altera/kit-cyclone-v-soc.html</p><p></p><p>International Organization for Standardization. (2011). ISO/IEC/IEEE 42010:2011</p><p>Systems and Software EngineeringArchitecture Description.</p><p></p><p>J. Rettkowski, A. Boutros, & D. Ghringer. (2015). Real-time pedestrian detection on</p><p>a xilinx zynq using the HOG algorithm. 2015 International Conference on</p><p>ReConFigurable Computing and FPGAs (ReConFig), 18.</p><p>https://doi.org/10.1109/ReConFig.2015.7393339</p><p></p><p>Jian-feng, L., Chun-Yi, W., & Jie, H. (2012). A High Performance Data Storage</p><p>Method for Embedded Linux Real-time Database in Power Systems. Energy</p><p>Procedia, 16, 883888.</p><p></p><p>Jzwiak, L. (2017). Advanced mobile and wearable systems. Microprocessors and</p><p>Microsystems, 50, 202221.</p><p></p><p>K. Huang, B. Hu, L. Chen, A. Knoll, & Z. Wang. (2018). ADAS on COTS with OpenCL:</p><p>A Case Study with Lane Detection. IEEE Transactions on Computers, 67(4),</p><p>559565. https://doi.org/10.1109/TC.2017.2759203</p><p></p><p>Karan, S., Sudarshan, S., Aditya, S., Rameez, S., & Apurva, P. (2018). Component</p><p>Measurement Using Ultrasonic Sensor. International Research Journal of</p><p>Engineering and Technology (IRJET), 5(5).</p><p>https://www.irjet.net/archives/V5/i5/IRJET-V5I5193.pdf</p><p></p><p>Khan, J., Tatkeu, C., Deloof, P., & Niar, S. (2011). Data association techniques for</p><p>advanced driver assistance systems using embedded soft-core processors. ITS</p><p>Telecommunications (ITST), 2011 11th International Conference On, 5155.</p><p></p><p>Kocic, O., Simic, A., Bjelica, M. Z., & Maruna, T. (2016). Optimization of driver</p><p>monitoring ADAS algorithm for heterogeneous platform. 2016 24th</p><p>Telecommunications Forum (TELFOR), 14.</p><p></p><p>Komorkiewicz, M., Turek, K., Skruch, P., Kryjak, T., & Gorgon, M. (2016). FPGAbased</p><p>Hardware-in-the-Loop environment using video injection concept for</p><p>camera-based systems in automotive applications. Design and Architectures for</p><p>Signal and Image Processing (DASIP), 2016 Conference On, 183190.</p><p></p><p>Kudo, Y., Takada, A., Ishida, Y., & Izumi, T. (2019). An SoC-FPGA-Based Micro</p><p>UGV with Localization and Motion Planning. 2019 International Conference</p><p>on Field-Programmable Technology (ICFPT), 469472.</p><p></p><p>Kukkala, V. K., Tunnell, J., Pasricha, S., & Bradley, T. (2018). Advanced driverassistance</p><p>systems: A path toward autonomous vehicles. IEEE Consumer</p><p>Electronics Magazine, 7(5), 1825.</p><p></p><p>Kwon, S., & Lee, H.-J. (2016). Dense stereo-based real-time ROI generation for onroad</p><p>obstacle detection. SoC Design Conference (ISOCC), 2016 International,</p><p>179180.</p><p></p><p>Kyriazis, G. (2012). Heterogeneous system architecture: A technical review. AMD</p><p>Fusion Developer Summit, 21.</p><p></p><p>Lee, K. J., Bong, K., Kim, C., Jang, J., Lee, K.-R., Lee, J., Kim, G., & Yoo, H.-J. (2017).</p><p>A 502-GOPS and 0.984-mW dual-mode intelligent ADAS SoC with real-time</p><p>semiglobal matching and intention prediction for smart automotive black box</p><p>system. IEEE Journal of Solid-State Circuits, 52(1), 139150.</p><p></p><p>Lee, S., Son, H., Choi, J. C., & Min, K. (2012). HOG feature extractor circuit for realtime</p><p>human and vehicle detection. TENCON 2012-2012 IEEE Region 10</p><p>Conference, 15.</p><p></p><p>Lee, S.-S., Lee, E., Hwang, Y., & Jang, S.-J. (2016). Low-complexity hardware</p><p>architecture of traffic sign recognition with IHSL color space for advanced</p><p>driver assistance systems. Consumer Electronics-Asia (ICCE-Asia), IEEE</p><p>International Conference On, 12.</p><p></p><p>Li, L., Fajar, E., Kurimoto, K., & Goto, S. (2005). A mixed design flow for FPGA</p><p>prototyping of design with scan circuits. 2005 6th International Conference on</p><p>ASIC, 2, 11271130.</p><p></p><p>Lin, I.-A., Lee, T.-Y., Chen, C.-M., & Liu, S.-Y. (2017). FPGA-based fast rain removal</p><p>system using orientation-adaptive non-local mean filter. Consumer Electronics-</p><p>Taiwan (ICCE-TW), 2017 IEEE International Conference On, 3940.</p><p></p><p>Lohani, B., & Ghosh, S. (2017). Airborne LiDAR technology: A review of data</p><p>collection and processing systems. Proceedings of the National Academy of</p><p>Sciences, India Section A: Physical Sciences, 87(4), 567579.</p><p></p><p>Lopes, I. C., Benevenuti, F., Kastensmidt, F. L., Susin, A. A., & Rech, P. (2018).</p><p>Reliability analysis on case-study traffic sign convolutional neural network on</p><p>APSoC. Test Symposium (LATS), 2018 IEEE 19th Latin-American, 16.</p><p></p><p>Lopez, D., & Clairet, M. (2016). Fail silent and robust power management architectures</p><p>to enable autonomous driving embedded systems. 2016 International</p><p>Conference on Electrical Systems for Aircraft, Railway, Ship Propulsion and</p><p>Road Vehicles & International Transportation Electrification Conference</p><p>(ESARS-ITEC), 16.</p><p></p><p>Louis, L. (2016). WORKING PRINCIPLE OF ARDUINO AND U SING IT.</p><p>International Journal of Control, Automation, Communication and Systems</p><p>(IJCACS), 1(2), 2129.</p><p></p><p>Lyytinen, H., Haataja, K., & Toivanen, P. (2009). Designing and implementing an</p><p>embedded linux for limited resource devices. 2009 Eighth International</p><p>Conference on Networks, 1823.</p><p></p><p>Mandal, D. K., Sankaran, J., Gupta, A., Castille, K., Gondkar, S., Kamath, S., Sundar,</p><p>P., & Phipps, A. (2014). An Embedded Vision Engine (EVE) for automotive</p><p>vision processing. Circuits and Systems (ISCAS), 2014 IEEE International</p><p>Symposium On, 4952.</p><p></p><p>Martinez, L. A., & Marques, E. (2016). A hardware/software codesign framework for</p><p>vision-based ADAS. Field Programmable Logic and Applications (FPL), 2016</p><p>26th International Conference On, 12.</p><p></p><p>Martnez-Barber, H., & Herrero-Prez, D. (2014). Multilayer distributed intelligent</p><p>control of an autonomous car. Transportation Research Part C: Emerging</p><p>Technologies, 39, 94112.</p><p></p><p>Mensch, W. D., & Silage, D. A. (2000). System-on-chip design methodology in</p><p>engineering education. International Conference on Engineering Education.</p><p></p><p>Mils, J., Milan, V., Boris, D., & Milija, S. (2013). Using RapidMiner for Research:</p><p>Experimental Evaluation of Learners. RapidMiner: Data Mining Use Cases and</p><p>Business Analytics Applications, 439.</p><p></p><p>Mirnig, A. G., Grtner, M., Laminger, A., Meschtscherjakov, A., Trsterer, S.,</p><p>Tscheligi, M., McCall, R., & McGee, F. (2017). Control transition interfaces in</p><p>semiautonomous vehicles: A categorization framework and literature analysis.</p><p>Proceedings of the 9th International Conference on Automotive User Interfaces</p><p>and Interactive Vehicular Applications, 209220.</p><p></p><p>Mody, M., Sanghvi, H., Nandan, N., Dabral, S., Allu, R., Sagar, R., Chitnis, K., Jones,</p><p>J., Jadhav, B., & Shivalingappa, S. (2017). A 216 gops flexible wdr image</p><p>processor for adas soc. 2017 IEEE Symposium in Low-Power and High-Speed</p><p>Chips (COOL CHIPS), 12.</p><p></p><p>Nvidia. (2018). UNMATCHED POWER. UNMATCHED CREATIVE FREEDOM.</p><p>NVIDIA QUADRO P6000. https://www.nvidia.com/content/dam/enzz/</p><p>Solutions/design-visualization/productspage/quadro/quadrodesktop/</p><p>quadro-pascal-p6000-data-sheet-us-nv-704590-r1.pdf</p><p></p><p>Nvidia. (2020). NVIDIA DRIVE AGX. https://www.nvidia.com/en-us/self-drivingcars/</p><p>drive-platform/hardware/</p><p></p><p>O. Abid, Q. Cabannes, & B. Senouci. (2018). Supervisor and control investigation in</p><p>smart/autonomous vehicles: Environment recognition and objects detection</p><p>ADAS application case study. 2018 11th International Symposium on</p><p>Mechatronics and Its Applications (ISMA), 17.</p><p>https://doi.org/10.1109/ISMA.2018.8330135</p><p></p><p>Okamoto, K., & Tsiotras, P. (2019). Data-driven human driver lateral control models</p><p>for developing haptic-shared control advanced driver assist systems. Robotics</p><p>and Autonomous Systems, 114, 155171.</p><p></p><p>Okuda, R., Kajiwara, Y., & Terashima, K. (2014). A survey of technical trend of ADAS</p><p>and autonomous driving. Technical Papers of 2014 International Symposium</p><p>on VLSI Design, Automation and Test, 14.</p><p></p><p>P. Swami, A. Jain, P. Goswami, K. Chitnis, A. Dubey, & P. Chaudhari. (2017). High</p><p>performance automotive radar signal processing on TIs TDA3X platform. 2017</p><p>IEEE Radar Conference (RadarConf), 13171320.</p><p>https://doi.org/10.1109/RADAR.2017.7944409</p><p></p><p>P. Yadav & J. Guddeti. (2017). A methodology for validation of system level</p><p>synchronization in different interface standards for automotive microcontroller.</p><p>2017 2nd IEEE International Conference on Intelligent Transportation</p><p>Engineering (ICITE), 6771. https://doi.org/10.1109/ICITE.2017.8056883</p><p></p><p>Patel, J. J., Reddy, N., Kumari, P., Rajpal, R., Pujara, H., Jha, R., & Kalappurakkal, P.</p><p>(2014). Embedded Linux platform for data acquisition systems. Fusion</p><p>Engineering and Design, 89(5), 684688.</p><p></p><p>Peng, J., Tian, L., Jia, X., Guo, H., Xu, Y., Xie, D., Luo, H., Shan, Y., & Wang, Y.</p><p>(2019). Multi-task ADAS system on FPGA. 2019 IEEE International</p><p>Conference on Artificial Intelligence Circuits and Systems (AICAS), 171174.</p><p></p><p>Purkayastha, A. A., Shiddhibhavi, S. A., & Tabkhi, H. (2018). Taxonomy of spatial</p><p>parallelism on fpgas for massively parallel applications. 2018 31st IEEE</p><p>International System-on-Chip Conference (SOCC), 5560.</p><p></p><p>R. Bushey, H. Tabkhi, & G. Schirner. (2013). Flexible function-level acceleration of</p><p>embedded vision applications using the Pipelined Vision Processor. 2013</p><p>Asilomar Conference on Signals, Systems and Computers, 14471452.</p><p>https://doi.org/10.1109/ACSSC.2013.6810535</p><p></p><p>R. Saussard, B. Bouzid, M. Vasiliu, & R. Reynaud. (2015). Towards an Automatic</p><p>Prediction of Image Processing Algorithms Performances on Embedded</p><p>Heterogeneous Architectures. 2015 44th International Conference on Parallel</p><p>Processing Workshops, 2736. https://doi.org/10.1109/ICPPW.2015.14</p><p></p><p>Rahul, K. (2016). On-Road Intelligent Vehicles. Elsevier.</p><p></p><p>Ranft, B., Schoenwald, T., & Kitt, B. (2011). Parallel matching-based estimation-a case</p><p>study on three different hardware architectures. Intelligent Vehicles Symposium</p><p>(IV), 2011 IEEE, 10601067.</p><p></p><p>Rifenbark, S. (2014). Yocto Project Development Manual.</p><p>https://www.yoctoproject.org/docs/1.6.1/dev-manual/dev-manual.pdf</p><p></p><p>Rupp, A., Tranninger, M., Wallner, R., Zubaca, J., Steinberger, M., & Horn, M. (2019).</p><p>Fast and low-cost testing of advanced driver assistance systems using smallscale</p><p>vehicles. IFAC-PapersOnLine, 52(5), 3439.</p><p></p><p>Sahlbach, H., Whitty, S., Bende, O., & Ernst, R. (2010). A Scalable, High-Performance</p><p>Motion Estimation Application for a Weakly-Programmable FPGA</p><p>Architecture. Field Programmable Logic and Applications (FPL), 2010</p><p>International Conference On, 1518.</p><p></p><p>Sally, G. (2010). Pro Linux embedded systems. Apress.</p><p></p><p>Salvador, O., & Angolini, D. (2014). Embedded Linux Development with Yocto Project.</p><p>Packt Publishing Ltd.</p><p></p><p>Saussard, R., Bouzid, B., Vasiliu, M., & Reynaud, R. (2015a). Optimal performance</p><p>prediction of ADAS algorithms on embedded parallel architectures. High</p><p>Performance Computing and Communications (HPCC), 2015 IEEE 7th</p><p>International Symposium on Cyberspace Safety and Security (CSS), 2015 IEEE</p><p>12th International Conferen on Embedded Software and Systems (ICESS), 2015</p><p>IEEE 17th International Conference On, 213218.</p><p></p><p>Saussard, R., Bouzid, B., Vasiliu, M., & Reynaud, R. (2015b). The embeddability of</p><p>lane detection algorithms on heterogeneous architectures. Image Processing</p><p>(ICIP), 2015 IEEE International Conference On, 46944697.</p><p></p><p>Schaub, A., de la Cruz, J. C. R., & Burschka, D. (2014). Autonomous parking using a</p><p>highly maneuverable robotic vehicle. IFAC Proceedings Volumes, 47(3), 2640</p><p>2645.</p><p></p><p>Schumacher, F., & Greiner, T. (2014). Matching cost computation algorithm and high</p><p>speed fpga architecture for high quality real-time semi global matching stereo</p><p>vision for road scenes. Intelligent Transportation Systems (ITSC), 2014 IEEE</p><p>17th International Conference On, 30643069.</p><p></p><p>Schwiegelshohn, F., Gierke, L., & Hbner, M. (2015). FPGA based traffic sign</p><p>detection for automotive camera systems. ReCoSoC, 16.</p><p></p><p>Schwiegelshohn, F., & Hbner, M. (2014). Design of an attention detection system on</p><p>the zynq-7000 soc. ReConFigurable Computing and FPGAs (ReConFig), 2014</p><p>International Conference On, 16.</p><p></p><p>Senouci, B., Rouis, H., Han, D.-S., & Bourennanea, E. (2017). A hardware skinsegmentation</p><p>IP for vision based smart ADAS through an FPGA prototyping.</p><p>2017 Ninth International Conference on Ubiquitous and Future Networks</p><p>(ICUFN), 197199.</p><p></p><p>Shi, W., Alawieh, M. B., Li, X., & Yu, H. (2017). Algorithm and hardware</p><p>implementation for visual perception system in autonomous vehicle: A survey.</p><p>Integration, 59, 148156. https://doi.org/10.1016/j.vlsi.2017.07.007</p><p></p><p>Shibahara, S. (2018). Functional safety SoC for autonomous driving. 2018 IEEE</p><p>Custom Integrated Circuits Conference (CICC), 18.</p><p></p><p>Soltani, A., & Assadian, F. (2016). A Hardware-in-the-Loop Facility for Integrated</p><p>Vehicle Dynamics Control System Design and Validation. IFACPapersOnLine,</p><p>49(21), 3238.</p><p></p><p>Spagnolo, F., Perri, S., Frustaci, F., & Corsonello, P. (2018). Connected component</p><p>analysis for traffic sign recognition embedded processing systems. 2018 25th</p><p>IEEE International Conference on Electronics, Circuits and Systems (ICECS),</p><p>749752.</p><p></p><p>Tan, C. Y., Ismail, N., Ooi, C. Y., & Hon, J. Y. (2019). Accelerating Extreme Learning</p><p>Machine on FPGA by Hardware Implementation of Given Rotation-QRD.</p><p>International Journal of Integrated Engineering, 11(7), 3139.</p><p></p><p>Tanaka, T., Ikeno, I., Tsuruoka, R., Kuchiba, T., Liao, W., & Mitsuyama, Y. (2019).</p><p>Development of Autonomous Driving System Using Programmable SoCs. 2019</p><p>International Conference on Field-Programmable Technology (ICFPT), 453</p><p>456.</p><p></p><p>Terasic. (2017a). DE10-Nano SoC User Manual. https://www.terasic.com.tw/cgibin/</p><p>page/archive_download.pl?Language=English&No=1046&FID=f1f656bb</p><p>5f040121c36f2f93f6b107ff</p><p></p><p>Terasic. (2017b). TerasicSoC PlatformCycloneDE10-Nano Kit.</p><p>https://www.terasic.com.tw/cgibin/</p><p>page/archive.pl?Language=English&CategoryNo=205&No=1046&PartNo</p><p>=2</p><p></p><p>TI. (2013). Advanced Driver Assistance (ADAS) Solutions Guide.</p><p>https://uk.farnell.com/wcsstore/ExtendedSitesCatalogAssetStore/cms/asset/im</p><p>ages/europe/common/applications/automotive/pdf/ti-adas-solution-guide.pdf</p><p></p><p>TI. (2019). Ultrasonic Sensing Basics.</p><p>https://www.ti.com/lit/an/slaa907c/slaa907c.pdf?ts=1610556385347&ref_url=</p><p>https%253A%252F%252Fwww.google.com%252F</p><p></p><p>Vahidi, A., & Eskandarian, A. (2003). Research advances in intelligent collision</p><p>avoidance and adaptive cruise control. IEEE Transactions on Intelligent</p><p>Transportation Systems, 4(3), 143153.</p><p></p><p>Vanholme, B., Gruyer, D., Lusetti, B., Glaser, S., & Mammar, S. (2012). Highly</p><p>automated driving on highways based on legal safety. IEEE Transactions on</p><p>Intelligent Transportation Systems, 14(1), 333347.</p><p></p><p>Velez, G., Corts, A., Nieto, M., Vlez, I., & Otaegui, O. (2015). A reconfigurable</p><p>embedded vision system for advanced driver assistance. Journal of Real-Time</p><p>Image Processing, 10(4), 725739. https://doi.org/10.1007/s11554-014-0412-3</p><p></p><p>Verma, R. K., Sukumar, N., & Sumathi, P. (2019). Vision-Based Estimation of Range</p><p>and Direction of Preceding Vehicle for Advanced Driver Assistance Systems.</p><p>2019 IEEE 16th India Council International Conference (INDICON), 14.</p><p>https://doi.org/10.1109/INDICON47234.2019.9030272</p><p></p><p>Viswanath, P., Chitnis, K., Swami, P., Mody, M., Shivalingappa, S., Nagori, S.,</p><p>Mathew, M., Desappan, K., Jagannathan, S., Poddar, D., Jain, A., Garud, H.,</p><p>Appia, V., Mangla, M., & Dabral, S. (2016, June). A Diverse Low Cost High</p><p>Performance Platform for Advanced Driver Assistance System (ADAS)</p><p>Applications. The IEEE Conference on Computer Vision and Pattern</p><p>Recognition (CVPR) Workshops.</p><p></p><p>Wang, X., Huang, K., & Knoll, A. (2019). Performance Optimisation of Parallelized</p><p>ADAS Applications in FPGA-GPU Heterogeneous Systems: A Case Study</p><p>With Lane Detection. IEEE Transactions on Intelligent Vehicles, 4(4), 519</p><p>531.</p><p></p><p>Wang, Y., & Nouta, R. (2004). System design methodologiesHigh level synthesis and</p><p>a VHDL implementation of a practical scheme for UWB communication [PhD</p><p>Thesis]. Citeseer.</p><p></p><p>What is FPGA. (2020).</p><p>https://www.intel.com/content/www/us/en/products/programmable/fpga/newto-</p><p>fpgas/resource-center/overview.html</p><p></p><p>World Health Organization Report. (2020). https://www.who.int/news-room/factsheets/</p><p>detail/road-traffic-injuries</p><p></p><p>Wu, T., Liu, W., & Jin, Y. (2019). An End-to-End solution to Autonomous Driving</p><p>based on Xilinx FPGA. 2019 International Conference on Field-Programmable</p><p>Technology (ICFPT), 427430.</p><p></p><p>Yadav, P., & Guddeti, J. (2016). FPGA based validation technique for Advanced Driver</p><p>Assistance System. Embedded Computing and System Design (ISED), 2016</p><p>Sixth International Symposium On, 159165.</p><p></p><p>Yao, Y., Zhang, Z., Yang, Z., Wang, J., & Lai, J. (2017). FPGA-based convolution</p><p>neural network for traffic sign recognition. ASIC (ASICON), 2017 IEEE 12th</p><p>International Conference On, 891894.</p><p></p><p>Yi-Yuan Chen, Yuan-Yao Tu, Cheng-Hsiang Chiu, & Y. Chen. (2009). An embedded</p><p>system for vehicle surrounding monitoring. 2009 2nd International Conference</p><p>on Power Electronics and Intelligent Transportation System (PEITS), 2, 9295.</p><p>https://doi.org/10.1109/PEITS.2009.5406797</p><p></p><p>Yoshizawa, A., & Iwasaki, H. (2018). Influence of a Drivers Mindset on</p><p>Understanding Driver-Assist Systems. 2018 IEEE 17th International</p><p>Conference on Cognitive Informatics & Cognitive Computing (ICCI* CC),</p><p>393400.</p><p></p><p>Zaimovic, S., iljak, H., & Jokic, D. (2018). Artificial Colloquist: Treating Social</p><p>Anxiety Disorder Using Altera FPGA. IFAC-PapersOnLine, 51(6), 336341.</p><p></p><p>Zhang, J., Wang, F.-Y., Wang, K., Lin, W.-H., Xu, X., & Chen, C. (2011). Data-driven</p><p>intelligent transportation systems: A survey. IEEE Transactions on Intelligent</p><p>Transportation Systems, 12(4), 16241639.</p><p></p><p>Zhang, X., Wei, X., Sang, Q., Chen, H., & Xie, Y. (2020). An Efficient FPGA-Based</p><p>Implementation for Quantized Remote Sensing Image Scene Classification</p><p>Network. Electronics, 9(9), 1344.</p><p></p><p>Zhong, G., Niar, S., Prakash, A., & Mitra, T. (2016). Design of multiple-target tracking</p><p>system on heterogeneous system-on-chip devices. IEEE Transactions on</p><p>Vehicular Technology, 65(6), 48024812.</p><p></p><p>Zhou, Y., Chen, Z., & Huang, X. (2016). A system-on-chip FPGA design for real-time</p><p>traffic signal recognition system. Circuits and Systems (ISCAS), 2016 IEEE</p><p>International Symposium On, 17781781.</p><p></p><p>Ziener, D. (2018). Improving Reliability, Security, and Efficiency of Reconfigurable</p><p>Hardware Systems. ArXiv Preprint ArXiv:1809.11156.</p><p></p>